稼働中

Raspberry Pi Pico(s_32)OLED SSD1306 用モジュール

OLED SSD1306 ssd1306c.py

OLED SSD1306は有機LEDディスプレイです。※詳細はOLED SSD1306のデータシートを参照ください。
Raspberry Pi PicoでOLED SSD1306を簡単に使える’ssd1306.py’の使い方を記載します。記事d_34を元に作成しています。
‘ssd1306.py’の入手先は「https://github.com/stlehmann/micropython-ssd1306/blob/master/ssd1306.py」です。
末尾にssd1306.pyのコピペがあります。
ssd1306.pyにはClass SSD1306_I2C、SSD1306_SPIがあり、I2C、SPI通信に対応しているようです。
ここではI2Cを使う前提で使い方を記載します。

使い方

■ ファイル転送
Raspberry Pi Picoへ’ssd1306c.py’を送った後で’SSD1306_I2C’をimportして使います。

from ssd1306 import SSD1306_I2C

■初期化
SSD1306_I2C(width, height, i2c, addr=0x3C, external_vcc=False)で初期化します。

実質的には
使用するI2C通信で使うGPIOを設定します。下記ではI2C0、GP16、GP17を指定しています。
i2c = I2C(0, scl=Pin(17), sda=Pin(16), freq=400_000)

i2cを指定したら
SSD1306_I2C(width, height, i2c)
で初期化します。
width:OLEDのpixel幅
height:OLEDのpixel高さ

SSD1306 128x64のOLEDならSSD1306_I2C(128, 64, i2c)
で初期化します。

■ メソッド
‘SSD1306_I2C’をimportすると「poweron、poweroff、contrast、invert、show」のメソッドが使えるようになります。
さらに、framebuf.FrameBufferのメソッドfill、fill_rect、pixel、hline、vline、rect、line、blit、scroll、textが使えるようになります。

(01)poweroff() # 電源オフ、ピクセルはメモリに残存
(02)poweron() # 電源オン、ピクセルを再描画
(03)contrast(0) # 暗くする contrast(255) # 明るくする
(04)invert(1) # 反転
invert(0) # 通常表示
(05)show() # FrameBuffer の内容をディスプレイメモリに書き出す
ssd1306.py method
順次、ThonyのShellで実行していくと、OLEDがinvert(1)で全面点灯、invert(0)全面消灯します。

FrameBuffer
fill(1) # 全データ colour=1
fill(0) # 全データ colour=0
pixel(0, 10) # 指定位置のcolour値を返す
pixel(0, 10, 1) # 指定位置の画素を点灯
hline(0, 8, 64, 1) # 指定位置 で水平線を描画
vline(0, 8, 16, 1) # 指定位置 で垂直線を描画

line(0, 0, 127, 63, 1) # 0,0 から 127,63 に線描
rect(10, 10, 107, 43, 1) # 10,10 と 117,53 の間、長方形を描画
fill_rect(10, 10, 107, 43, 1) # 10,10 と 117,53 の間、塗り潰した長方形を描画
text(‘OLED SSD1603’, 10, 5, 1) # 指定位置で文字を描画
scroll(15, 20) # 15pixel x方向へスクロール
※設定するだけで表示されません。設定後のshow()で表示されます。
ssd1306.py method2
下の写真は以下をThonyのShellで実行したものです。


>>> from machine import I2C,Pin
>>> i2c = I2C(0, scl=Pin(17), sda=Pin(16), freq=400_000)
>>> from ssd1306 import SSD1306_I2C
>>> oled = SSD1306_I2C(128, 64, i2c)
>>> oled.text('OLED SSD1306',10,5,1)
>>> oled.scroll(15,20)
>>> oled.show()
>>> 

黄文字はoled.text(‘OLED SSD1306’,10,5,1)、青文字はoled.scroll(15,20)の部分です。
scrollは元データをスクロールさせたデータを作成するだけです。そのため元のデータは残存しているようで表示されてます。
SSD1306 動作結果1
■framebuf
framebufをインポートすると別の FrameBuffer を描画できます。

先の画面にテキスト’MicroPython’を追加で描画させてみます。


>>> import framebuf
>>> fbuf=framebuf.FrameBuffer(bytearray( 8//8 * 88), 88, 8, framebuf.MONO_VLSB)
>>> fbuf.rect(0, 0, 88, 8, 1)
>>> fbuf.text('MicroPython', 0, 0, 1)
>>> oled.blit(fbuf, 0, 40, 0)
>>> oled.show()
>>> 

ひと文字は8×8なので、’MicroPython’が11文字のため上のようにしています。
新しいFrameBuffer オブジェクト(fbuf)は88x8のサイズになっています。そのサイズの長方形にテキスト’MicroPython’を描画するようにしました。
oled.blit(fbuf, 0, 40, 0)で元のFrameBuffer オブジェクト(oled 128×64)にx=0,y=40の位置にfbuf(88x8)を追描画しています。
以下が実行結果です。
SSD1306 動作結果2
以下のスクリプトでは、テキストの’MicroPython’の代わりに、□を1行に順次描画し、その後順次消去するようにしてみました。


pico_ssd1306_test_04.py
from machine import I2C,Pin
from ssd1306 import SSD1306_I2C
import time
import framebuf

i2c = I2C(0, scl=Pin(17), sda=Pin(16), freq=400_000)
# SSD1306 128x64
oled = SSD1306_I2C(128, 64, i2c) # width,hight,external_vcc

oled.text('OLED SSD1306',10,5,1)
oled.scroll(15,20)
oled.show()


# 1moji=8x8px
fbuf=framebuf.FrameBuffer(bytearray(8 * 8 * 1), 8, 8, framebuf.MONO_VLSB)

#rect'1'をy=40に順次描画
for i in range(16):
    x=i*8
    #print('1', x)
    fbuf.rect(0, 0, 8, 8, 1)  # 8x8の四角形 c=1
    oled.blit(fbuf, x, 40, 0) # x=10, y=40, key=0 の上に描画
    oled.show()
    time.sleep(0.2)
#rect'0'をy=40に順次描画
for i in range(16):
    x=i*8
    #print('1', x)
    fbuf.rect(0, 0, 8, 8, 0)  # 8x8の四角形 c=0
    oled.blit(fbuf, x, 40, 1) # x=10, y=40, key=1 の上に描画
    oled.show()
    time.sleep(0.2)

実行結果
動作確認の動画です。

SSD1306モジュール用

「https://github.com/stlehmann/micropython-ssd1306/blob/master/ssd1306.py」からコピペしたものです。


ssd1306.py
# MicroPython SSD1306 OLED driver, I2C and SPI interfaces

from micropython import const
import framebuf


# register definitions
SET_CONTRAST = const(0x81)
SET_ENTIRE_ON = const(0xA4)
SET_NORM_INV = const(0xA6)
SET_DISP = const(0xAE)
SET_MEM_ADDR = const(0x20)
SET_COL_ADDR = const(0x21)
SET_PAGE_ADDR = const(0x22)
SET_DISP_START_LINE = const(0x40)
SET_SEG_REMAP = const(0xA0)
SET_MUX_RATIO = const(0xA8)
SET_COM_OUT_DIR = const(0xC0)
SET_DISP_OFFSET = const(0xD3)
SET_COM_PIN_CFG = const(0xDA)
SET_DISP_CLK_DIV = const(0xD5)
SET_PRECHARGE = const(0xD9)
SET_VCOM_DESEL = const(0xDB)
SET_CHARGE_PUMP = const(0x8D)

# Subclassing FrameBuffer provides support for graphics primitives
# http://docs.micropython.org/en/latest/pyboard/library/framebuf.html
class SSD1306(framebuf.FrameBuffer):
    def __init__(self, width, height, external_vcc):
        self.width = width
        self.height = height
        self.external_vcc = external_vcc
        self.pages = self.height // 8
        self.buffer = bytearray(self.pages * self.width)
        super().__init__(self.buffer, self.width, self.height, framebuf.MONO_VLSB)
        self.init_display()

    def init_display(self):
        for cmd in (
            SET_DISP | 0x00,  # off
            # address setting
            SET_MEM_ADDR,
            0x00,  # horizontal
            # resolution and layout
            SET_DISP_START_LINE | 0x00,
            SET_SEG_REMAP | 0x01,  # column addr 127 mapped to SEG0
            SET_MUX_RATIO,
            self.height - 1,
            SET_COM_OUT_DIR | 0x08,  # scan from COM[N] to COM0
            SET_DISP_OFFSET,
            0x00,
            SET_COM_PIN_CFG,
            0x02 if self.width > 2 * self.height else 0x12,
            # timing and driving scheme
            SET_DISP_CLK_DIV,
            0x80,
            SET_PRECHARGE,
            0x22 if self.external_vcc else 0xF1,
            SET_VCOM_DESEL,
            0x30,  # 0.83*Vcc
            # display
            SET_CONTRAST,
            0xFF,  # maximum
            SET_ENTIRE_ON,  # output follows RAM contents
            SET_NORM_INV,  # not inverted
            # charge pump
            SET_CHARGE_PUMP,
            0x10 if self.external_vcc else 0x14,
            SET_DISP | 0x01,
        ):  # on
            self.write_cmd(cmd)
        self.fill(0)
        self.show()

    def poweroff(self):
        self.write_cmd(SET_DISP | 0x00)

    def poweron(self):
        self.write_cmd(SET_DISP | 0x01)

    def contrast(self, contrast):
        self.write_cmd(SET_CONTRAST)
        self.write_cmd(contrast)

    def invert(self, invert):
        self.write_cmd(SET_NORM_INV | (invert & 1))

    def show(self):
        x0 = 0
        x1 = self.width - 1
        if self.width == 64:
            # displays with width of 64 pixels are shifted by 32
            x0 += 32
            x1 += 32
        self.write_cmd(SET_COL_ADDR)
        self.write_cmd(x0)
        self.write_cmd(x1)
        self.write_cmd(SET_PAGE_ADDR)
        self.write_cmd(0)
        self.write_cmd(self.pages - 1)
        self.write_data(self.buffer)


class SSD1306_I2C(SSD1306):
    def __init__(self, width, height, i2c, addr=0x3C, external_vcc=False):
        self.i2c = i2c
        self.addr = addr
        self.temp = bytearray(2)
        self.write_list = [b"\x40", None]  # Co=0, D/C#=1
        super().__init__(width, height, external_vcc)

    def write_cmd(self, cmd):
        self.temp[0] = 0x80  # Co=1, D/C#=0
        self.temp[1] = cmd
        self.i2c.writeto(self.addr, self.temp)

    def write_data(self, buf):
        self.write_list[1] = buf
        self.i2c.writevto(self.addr, self.write_list)


class SSD1306_SPI(SSD1306):
    def __init__(self, width, height, spi, dc, res, cs, external_vcc=False):
        self.rate = 10 * 1024 * 1024
        dc.init(dc.OUT, value=0)
        res.init(res.OUT, value=0)
        cs.init(cs.OUT, value=1)
        self.spi = spi
        self.dc = dc
        self.res = res
        self.cs = cs
        import time

        self.res(1)
        time.sleep_ms(1)
        self.res(0)
        time.sleep_ms(10)
        self.res(1)
        super().__init__(width, height, external_vcc)

    def write_cmd(self, cmd):
        self.spi.init(baudrate=self.rate, polarity=0, phase=0)
        self.cs(1)
        self.dc(0)
        self.cs(0)
        self.spi.write(bytearray([cmd]))
        self.cs(1)

    def write_data(self, buf):
        self.spi.init(baudrate=self.rate, polarity=0, phase=0)
        self.cs(1)
        self.dc(1)
        self.cs(0)
        self.spi.write(buf)
        self.cs(1)